како да извршите операцију матрицу у ВХДЛ?

A

abhineet22

Guest
може неко реци да како да извршите операцију матрицу у ВХДЛ, значи као да сазове матрицу, као и рад померања, замену, инверзна у ВХДЛ. имају било коју врсту операције у ВХДЛ?
 
матрица операција је врло изводљиво помоћу ВХДЛ. у могу да користе дататипе низа да прогласи 2 димензија низа у ацхеивинг исто. већина ВХДЛ тексту ће дати синтаксу и за исти.
 
Здраво, ВХДЛ је хардвер за програмирање лангаге Можете направити свој сопствени либ са функцијом / поступак за рачунање матрицу као и сваки други программатион лангаге (АДА, Ц ....)! Зашто желите да користите ВХДЛ за матрице операције? Постоје неки софтвер попут Матлаб које имају све карактеристике за овај задатак
 
Слажем се са сам31, .. Ја бих оставити ВХДЛ или Верилог да уради функције хардвера и нека фирмваре договор са матрице операцијама.
 

Welcome to EDABoard.com

Sponsor

Back
Top